assertions]% Reading pref.tcl
WLF file vsim.wlf contains no context information.
Hi,
When i am simulating below code iam getting the message above and waveform is not getting opened.
It would be really helpfull if someone helps me to fix this issue.
program main;
bit [7:0] data;
bit clk=0,rst;
property unknown_check;
@(posedge clk)
disable iff(rst) $isunknown(data);
endproperty
unknow_property:assert property(unknown_check);
initial begin
$display("Entered initial to drive data");
rst=1;
#2;
data=8'h20;
#20
data=8'hX;
end
initial
forever #2 clk=~clk;
initial begin
$dumpfile("");
$wlfdumpvars;
$dumpon;
end
endprogram